インテルのみ表示可能 — GUID: xap1629733275843
Ixiasoft
2.4. デザイン例のテストベンチのシミュレーション
デザイン例のテストベンチは、生成されたデザインをシミュレートします。
図 4. プロシージャー
デザインをシミュレートするには、以下の手順を実行します。
- 作業ディレクトリーを <example_design_directory> /simulation/<Simulator> に変更します。
- コマンドラインで、シミュレーション・スクリプトを実行します。次の表は、サポートされているシミュレーターを実行するためのコマンドを示しています。
シミュレーター コマンド QuestaSim* / ModelSim* vsim -do modelsim_sim.tcl vsim -c -do modelsim_sim.tcl ( QuestaSim* / ModelSim* GUIがない場合) Aldec Riviera-PRO* vsim -do riviera_sim.tcl vsim -c -do riviera_sim.tcl (Aldec Riviera-PRO* GUIがない場合) VCS* sh vcs_sim.sh VCS* MX sh vcsmx_sim.sh Xcelium* sh xcelium_sim.sh シミュレーションが完了すると、実行が成功したかどうかを示すメッセージが表示されます。図 5. 成功したシミュレーション以下は、VCS シミュレーターがシミュレーションに成功した場合のメッセージです。