インテルのみ表示可能 — GUID: mwh1409960615330
Ixiasoft
1.6.2.2.1. Aldec ActiveHDL*またはRiviera Pro* Simulatorセットアップ・スクリプトの入手
1.6.2.2.2. Cadence Incisive* Simulatorセットアップ・スクリプトの入手
1.6.2.2.3. Cadence Xcelium* シミュレーター・セットアップ・スクリプトのソーシング
1.6.2.2.4. Mentor Graphics ModelSim* Simulatorセットアップ・スクリプトの入手
1.6.2.2.5. Synopsys VCS* Simulatorセットアップ・スクリプトの入手
1.6.2.2.6. Synopsys VCS* MX Simulatorセットアップ・スクリプトの入手
インテルのみ表示可能 — GUID: mwh1409960615330
Ixiasoft
1.1. シミュレーターのサポート
インテル® Quartus® Prime開発ソフトウェアは、RTLレベルおよびゲートレベルのシミュレーションに向けて特定のEDAシミュレーターのバージョンをサポートしています。
ベンダー | シミュレーター | バージョン | プラットフォーム |
---|---|---|---|
Aldec | Active-HDL* | 10.4a | Windows* 32ビットのみ |
Aldec | Riviera-PRO* | 2017.10.67.6735 | Windows、Linux、64ビットのみ |
Cadence | Incisive Enterprise* | 15.20 | Linux、64ビットのみ |
Cadence | Xcelium* Parallel Simulator | 17.04 | Linux 6464ビットのみ |
Mentor Graphics* | ModelSim* - Intel® FPGA Edition | 10.6c | Windows、Linux, 32ビットのみ |
Mentor Graphics* | ModelSim* PE | 10.6c | Windows 32ビットのみ |
Mentor Graphics* | ModelSim* SE | 10.6c | Windows、Linux, 64ビットのみ |
Mentor Graphics* | QuestaSim* | 10.6c | Windows、Linux |
Synopsys* | VCS* VCS MX |
2017,03-SP2-1 | Linux 6464ビットのみ |