サードパーティー・シミュレーション・ユーザーガイド: インテル® Quartus® Prime プロ・エディション

ID 683870
日付 5/07/2018
Public
ドキュメント目次

1.1. シミュレーターのサポート

インテル® Quartus® Prime開発ソフトウェアは、RTLレベルおよびゲートレベルのシミュレーションに向けて特定のEDAシミュレーターのバージョンをサポートしています。
表 1.  サポートされているシミュレーター
ベンダー シミュレーター バージョン プラットフォーム
Aldec Active-HDL* 10.4a Windows* 32ビットのみ
Aldec Riviera-PRO* 2017.10.67.6735 Windows、Linux、64ビットのみ
Cadence Incisive Enterprise* 15.20 Linux、64ビットのみ
Cadence Xcelium* Parallel Simulator 17.04 Linux 6464ビットのみ
Mentor Graphics* ModelSim* - Intel® FPGA Edition 10.6c Windows、Linux, 32ビットのみ
Mentor Graphics* ModelSim* PE 10.6c Windows 32ビットのみ
Mentor Graphics* ModelSim* SE 10.6c Windows、Linux, 64ビットのみ
Mentor Graphics* QuestaSim* 10.6c Windows、Linux
Synopsys*

VCS*

VCS MX

2017,03-SP2-1 Linux 6464ビットのみ