サードパーティー・シミュレーション・ユーザーガイド: インテル® Quartus® Prime プロ・エディション

ID 683870
日付 5/07/2018
Public
ドキュメント目次

5.2. GUIおよびコマンドライン・インターフェイスの使用

インテル FPGAは、IES GUIインターフェイス、コマンドライン・シミュレーター・インターフェイス、および Xcelium* Parallel Simulatorのコマンドライン・サポートをサポートします。

IES GUIを開くには、コマンドプロンプトでnclaunchと入力します。

表 7.  IESシミュレーション実行ファイル
プログラム 機能
ncvlog

ncvlogは、Verilog HDLコードをコンパイルし、構文および静的セマンティクスのチェックを実行します。

ncvhdl

ncvhdlは、VHDLコードをコンパイルし、構文および静的セマンティクスのチェックを実行します。

ncelab 設計階層をエラボレートし、信号の接続性を診断します。
ncsdfc VHDLシミュレーターを使用したシミュレーションのバックアノテーションを実行します。
ncsim 混合言語シミュレーションを実行します。このプログラムは、イベント・スケジューリングを実行し、シミュレーション・コードを実行するシミュレーション・カーネルです。
表 8.   Xcelium* シミュレーション実行ファイル
プログラム 機能
xmvlog

xmvlogは、Verilog HDLコードをコンパイルし、構文および静的セマンティクスのチェックを実行します。

xmvhdl

xmvhdlは、VHDLコードをコンパイルし、構文および静的セマンティクスのチェックを実行します。

xmelab 設計階層をエラボレートし、信号の接続性を診断します。
xmsim 混合言語シミュレーションを実行します。このプログラムは、イベント・スケジューリングを実行し、シミュレーション・コードを実行するシミュレーション・カーネルです。