インテルのみ表示可能 — GUID: mwh1410383485564
Ixiasoft
1.6.2.2.1. Aldec ActiveHDL*またはRiviera Pro* Simulatorセットアップ・スクリプトの入手
1.6.2.2.2. Cadence Incisive* Simulatorセットアップ・スクリプトの入手
1.6.2.2.3. Cadence Xcelium* シミュレーター・セットアップ・スクリプトのソーシング
1.6.2.2.4. Mentor Graphics ModelSim* Simulatorセットアップ・スクリプトの入手
1.6.2.2.5. Synopsys VCS* Simulatorセットアップ・スクリプトの入手
1.6.2.2.6. Synopsys VCS* MX Simulatorセットアップ・スクリプトの入手
インテルのみ表示可能 — GUID: mwh1410383485564
Ixiasoft
5.2. GUIおよびコマンドライン・インターフェイスの使用
インテル FPGAは、IES GUIインターフェイス、コマンドライン・シミュレーター・インターフェイス、および Xcelium* Parallel Simulatorのコマンドライン・サポートをサポートします。
IES GUIを開くには、コマンドプロンプトでnclaunchと入力します。
プログラム | 機能 |
---|---|
ncvlog | ncvlogは、Verilog HDLコードをコンパイルし、構文および静的セマンティクスのチェックを実行します。 |
ncvhdl |
ncvhdlは、VHDLコードをコンパイルし、構文および静的セマンティクスのチェックを実行します。 |
ncelab | 設計階層をエラボレートし、信号の接続性を診断します。 |
ncsdfc | VHDLシミュレーターを使用したシミュレーションのバックアノテーションを実行します。 |
ncsim | 混合言語シミュレーションを実行します。このプログラムは、イベント・スケジューリングを実行し、シミュレーション・コードを実行するシミュレーション・カーネルです。 |
プログラム | 機能 |
---|---|
xmvlog | xmvlogは、Verilog HDLコードをコンパイルし、構文および静的セマンティクスのチェックを実行します。 |
xmvhdl |
xmvhdlは、VHDLコードをコンパイルし、構文および静的セマンティクスのチェックを実行します。 |
xmelab | 設計階層をエラボレートし、信号の接続性を診断します。 |
xmsim | 混合言語シミュレーションを実行します。このプログラムは、イベント・スケジューリングを実行し、シミュレーション・コードを実行するシミュレーション・カーネルです。 |