使い始めユーザーガイド: インテル® Quartus® Prime プロ・エディション

ID 683463
日付 5/07/2018
Public
ドキュメント目次

4.4.8. SystemVerilogファイルでのSystemVerilog機能の制約

他のQuartusソフトウェア・プロダクトでは、Verilog HDL(.v)デザイン・ファイルでSystemVerilog(.sv)機能のサブセットの使用が可能ですが、 インテル® Quartus® Prime プロ・エディションのシンセシスではVerilogファイル内でSystemVerilog機能を使用することはできません。 インテル® Quartus® Prime プロ・エディションでシンタックス・エラーを回避するには、Verilog HDL ファイル内でのみSystemVerilog機能を使用するようにします。
既存のVerilog HDLファイルでSystemVerilog機能を使用するには、Verilog HDL(.v)ファイルの名前をSystemVerilog(.sv)ファイルに変更します。 または、次の例に示すように、ファイルの種類を.qsfに設定することもできます。
set_global_assignment -name SYSTEMVERILOG_FILE <file>.v
表 23.  SystemVerilog機能の相違点
他のQuartusソフトウェア・プロダクト インテル® Quartus® Prime プロ・エディション
Verilog LRMは$clog2機能を定義しませんが、シンセシスはExample RTLから$clog2.vファイル内に解析します。他のQuartusソフトウェア・プロダクトでは、.vファイル内に他のSystemVerilog機能を含めることが可能です。 シンセシスは、Example RTLから.vファイル内にVerilogではない構成を検知するとシンタックス・エラーを生成します。 インテル® Quartus® Prime プロ・エディションシンセシスは.svファイル内でのみSystemVerilog機能を適用します。

Example RTL:

localparam num_mem_locations = 1050; wire mem_addr [$clog2(num_mem_locations)-1 : 0];