Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 4/01/2024
Public
ドキュメント目次

2.3.5.2.2. エンティティーに結び付けられた制約における自動スコープ例

次のデザインでは、エンティティーに結び付けられるSDCファイル手法を使用して、clk_div エンティティーの2つのインスタンスを制約しています。フィッターのPlanステージを実行してタイミング・ネットリストが利用可能になったら、次の手順に従いSDCファイルとデザイン内の特定のエンティティーを関連付けます。

図 72. 自動スコープ例
  1. エンティティーへの結び付きのないSDCファイルを作成してグローバルスコープのターゲットとなる制約を定義し、プロジェクトに追加します。このデザインでは、2つのクロック (clk0clk1) が定義されています。
    # global.sdc
    create_clock -period 100MHz -name clk_100 [get_ports clk0]
    create_clock -period 75MHz -name clk_75 [get_ports clk1]
  2. ターゲットのエンティティーを制約する2つ目のSDCファイルを作成してプロジェクトに追加します。このファイルはエンティティーに結び付ける手法に従っており、clk_div エンティティーに関連付けられています。したがって、このエンティティーが最上位の階層にあるかのように制約を定義し、パス名はエンティティーに対応するものになります。例えば、get_pins clkdiv_a|q コマンドには X|U0 階層は必要ありません。この例では、get_current_instance Tclコマンドによって各クロックに一意の名前が生成されます。

    この特定のケースでは、.sdc ファイルではモジュールの出力で新しいクロックを作成し、get_current_instance Tclコマンドで各クロックに一意の名前を生成しています。

    # clk_div.sdc
    set unique_clock_name "[get_current_instance]_clkout"
    create_generated_clock -divide_by 2 -source [get_pins clkdiv_a|clk] -name $unique_clock_name [get_pins clkdiv_a|q]
  3. 左側の Project Tasks ペインのファイルリストから、clk_div エンティティーに関連付けられている .sdc ファイルの File Properties ダイアログを開きます。
    1. Type リストには、SDC File with entity binding (Read by the Timing Analyzer at each fitter stage) オプションを選択します。
    2. Entity リストで、 clk_div を選択します。

      この手順では、エンティティーに結び付けられるファイルに自動スコープを適用するため、フィルターコマンドのすべてのパスの先頭に現在の階層の最上位パスが追加されます。例えば、get_registers clkdiv_a コマンドは動的に変換され、対応する完全に階層化されたコマンドになります (get_registers X|U0|clkdiv_a など)。

      注: エンティティーのリストを入力するには、最初にAnalysis & Synthesisを完了している必要があります。
    図 73. File Propertiesダイアログ

    もしくは、次の .qsf 割り当てを使用して、エンティティーの結び付けを設定することもできます。

    set_global_assignment -name SDC_ENTITY_FILE clk_div.sdc -entity clk_div
  4. プロジェクトを再コンパイルして変更を適用します。これにより、対応する .sdc ファイルが自動スコープモードで効果的にエンティティーに結び付けられます。
  5. エンティティーに結び付けられているプロパティーの実装を確認するには、タイミング・アナライザーの SDC File List レポートを確認します。このレポートには、デザインに適用されているSDCファイルの包括的なリストが表示されます。エンティティーに結び付けられているSDCファイルの場合、レポートには、関連付けられているインスタンス、エンティティー名、ライブラリー、および自動スコープの状態が含まれます。
    図 74. タイミング・アナライザーのSDC File Listレポート
  6. 意図している目的に応じて各制約が正しく適用されているかを判断します。例えば、生成されたクロックを確認し、クロック階層と相互参照することで、制約が正常に適用されているかを判断します。次の画像を参照してください。
    図 75. タイミング・アナライザーのCreate Generated Clockウィンドウ
    図 76. タイミング・アナライザーのClock Hierarchy Summaryウィンドウ