インテルのみ表示可能 — GUID: mwh1410383688018
Ixiasoft
2.4.4.5.1. デフォルトのマルチサイクル解析
2.4.4.5.2. エンド・マルチサイクル・セットアップ = 2、エンド・マルチサイクル・ホールド = 0
2.4.4.5.3. エンド・マルチサイクル・セットアップ = 2、エンド・マルチサイクル・ホールド = 1
2.4.4.5.4. 同じ周波数のクロック (送信先クロックのオフセットあり)
2.4.4.5.5. 送信元クロック周波数の倍数になる送信先クロック周波数
2.4.4.5.6. 送信元クロック周波数の倍数になる送信先クロック周波数 (オフセットあり)
2.4.4.5.7. 送信先クロック周波数の倍数になる送信元クロック周波数
2.4.4.5.8. 送信先クロック周波数の倍数になる送信元クロック周波数 (オフセットあり)
2.5.1. Fmax 概要レポート
2.5.2. Report Timingレポート
2.5.3. ソースファイルごとのタイミングレポート
2.5.4. データ遅延レポート
2.5.5. ネット遅延レポート
2.5.6. クロックレポートおよびクロック・ネットワーク・レポート
2.5.7. クロック間転送レポート
2.5.8. メタスタビリティー・レポート
2.5.9. CDC Viewer レポート
2.5.10. 非同期 CDC レポート
2.5.11. ロジック深度レポート
2.5.12. 近隣パスレポート
2.5.13. レジスター分布レポート
2.5.14. ルーティング着目ネットレポート
2.5.15. リタイミング制約レポート
2.5.16. レジスター統計情報レポート
2.5.17. パイプライン情報レポート
2.5.18. 時間借用データレポート
2.5.19. 例外レポートおよび例外範囲レポート
2.5.20. ボトルネック・レポート
2.5.21. タイミングの確認
2.5.22. SDCレポート
インテルのみ表示可能 — GUID: mwh1410383688018
Ixiasoft
2.3.2. 回路例と従来のSDCファイル
次の .sdc ファイルでは、図のデュアルクロック、フェーズ・ロック・ループ (PLL) 例を制約する方法を示しています。これには、その他の一般的な同期デザイン要素も含まれています。
従来の .sdc 制約の例
# Create clock constraints create_clock -name clockone -period 10.000Ns [get_ports {clk1}] create_clock -name clocktwo -period 10.000Ns [get_ports {clk2}] # Create virtual clocks for input and output delay constraints create clock -name clockone_ext -period 10.000Ns create clock -name clocktwo_ext -period 10.000Ns # derive PLL clocks to create the altpll0| clock referenced later derive_pll_clocks # derive clock uncertainty derive_clock_uncertainty # Specify that clockone and clocktwo are unrelated by assigning # them to separate asynchronous groups set_clock_groups \ -asynchronous \ -group {clockone} \ -group {clocktwo altpll0|altpll_component|auto_generated|pll1|clk[0]} # set input and output delays set_input_delay -clock { clockone_ext } -max 4 [get_ports {data1}] set_input_delay -clock { clockone_ext } -min -1 [get_ports {data1}] set_input_delay -clock { clockone_ext } -max 4 [get_ports {data2}] set_input_delay -clock { clockone_ext } -min -1 [get_ports {data2}] set_output_delay -clock { clocktwo_ext } -max 6 [get_ports {dataout}] set_output_delay -clock { clocktwo_ext } -min -3 [get_ports {dataout}]
従来の .sdc ファイルには、次の制約が含まれています。これらの制約は通常、ほとんどのデザインに含まれます。
- ベースクロックとしての clockone および clocktwo の定義。また、デザイン内のノードへのこれらの制約の割り当て
- 仮想クロックとしての clockone_ext および clocktwo_ext の定義。これらは、FPGA とインターフェイスしている外部デバイスを駆動するクロックを表します
- PLL 出力での生成クロックの自動導出
- クロックの不確実性の導出
- 2 つのクロックグループの指定。最初のグループには clockone とそれに関連するクロックが含まれ、2 番目のグループには clocktwo と PLL の出力が含まれます。この仕様は、デザイン内のすべてのクロックが相互に関連していると考えるデフォルトの解析をオーバーライドします
- デザインの入力遅延および出力遅延の仕様
図 60. デュアルクロック・デザインの制約例