インテルのみ表示可能 — GUID: mwh1417734823782
Ixiasoft
2.4.4.5.1. デフォルトのマルチサイクル解析
2.4.4.5.2. エンド・マルチサイクル・セットアップ = 2、エンド・マルチサイクル・ホールド = 0
2.4.4.5.3. エンド・マルチサイクル・セットアップ = 2、エンド・マルチサイクル・ホールド = 1
2.4.4.5.4. 同じ周波数のクロック (送信先クロックのオフセットあり)
2.4.4.5.5. 送信元クロック周波数の倍数になる送信先クロック周波数
2.4.4.5.6. 送信元クロック周波数の倍数になる送信先クロック周波数 (オフセットあり)
2.4.4.5.7. 送信先クロック周波数の倍数になる送信元クロック周波数
2.4.4.5.8. 送信先クロック周波数の倍数になる送信元クロック周波数 (オフセットあり)
2.5.1. Fmax 概要レポート
2.5.2. Report Timingレポート
2.5.3. ソースファイルごとのタイミングレポート
2.5.4. データ遅延レポート
2.5.5. ネット遅延レポート
2.5.6. クロックレポートおよびクロック・ネットワーク・レポート
2.5.7. クロック間転送レポート
2.5.8. メタスタビリティー・レポート
2.5.9. CDC Viewer レポート
2.5.10. 非同期 CDC レポート
2.5.11. ロジック深度レポート
2.5.12. 近隣パスレポート
2.5.13. レジスター分布レポート
2.5.14. ルーティング着目ネットレポート
2.5.15. リタイミング制約レポート
2.5.16. レジスター統計情報レポート
2.5.17. パイプライン情報レポート
2.5.18. 時間借用データレポート
2.5.19. 例外レポートおよび例外範囲レポート
2.5.20. ボトルネック・レポート
2.5.21. タイミングの確認
2.5.22. SDCレポート
インテルのみ表示可能 — GUID: mwh1417734823782
Ixiasoft
2.4.1.2.2. I/O インターフェイスのクロック不確実性の例
I/O インターフェイスの不確実性を指定するには、仮想クロックを作成し、その仮想クロックを参照する set_input_delay および set_output_delay コマンドで入力ポートと出力ポートを制約する必要があります。
set_input_delay または set_output_delay コマンドがクロックポートまたは PLL 出力を参照している場合、仮想クロックでは、derive_clock_uncertainty コマンドで内部クロック転送と I/O インターフェイス・クロック転送に個別のクロック不確実性を適用することが可能です。
次の例で示すように、I/O ポートを駆動している元のクロックと同じプロパティーで仮想クロックを作成します。
I/O インターフェイスを制約する SDC コマンド
# Create the base clock for the clock port create_clock -period 10 -name clk_in [get_ports clk_in] # Create a virtual clock with the same properties of the base clock # driving the source register create_clock -period 10 -name virt_clk_in # Create the input delay referencing the virtual clock and not the base # clock # DO NOT use set_input_delay -clock clk_in <delay value> # [get_ports data_in] set_input_delay -clock virt_clk_in <delay value> [get_ports data_in]