インテルのみ表示可能 — GUID: uwo1534882369871
Ixiasoft
2.4.4.5.1. デフォルトのマルチサイクル解析
2.4.4.5.2. エンド・マルチサイクル・セットアップ = 2、エンド・マルチサイクル・ホールド = 0
2.4.4.5.3. エンド・マルチサイクル・セットアップ = 2、エンド・マルチサイクル・ホールド = 1
2.4.4.5.4. 同じ周波数のクロック (送信先クロックのオフセットあり)
2.4.4.5.5. 送信元クロック周波数の倍数になる送信先クロック周波数
2.4.4.5.6. 送信元クロック周波数の倍数になる送信先クロック周波数 (オフセットあり)
2.4.4.5.7. 送信先クロック周波数の倍数になる送信元クロック周波数
2.4.4.5.8. 送信先クロック周波数の倍数になる送信元クロック周波数 (オフセットあり)
2.5.1. Fmax 概要レポート
2.5.2. Report Timingレポート
2.5.3. ソースファイルごとのタイミングレポート
2.5.4. データ遅延レポート
2.5.5. ネット遅延レポート
2.5.6. クロックレポートおよびクロック・ネットワーク・レポート
2.5.7. クロック間転送レポート
2.5.8. メタスタビリティー・レポート
2.5.9. CDC Viewer レポート
2.5.10. 非同期 CDC レポート
2.5.11. ロジック深度レポート
2.5.12. 近隣パスレポート
2.5.13. レジスター分布レポート
2.5.14. ルーティング着目ネットレポート
2.5.15. リタイミング制約レポート
2.5.16. レジスター統計情報レポート
2.5.17. パイプライン情報レポート
2.5.18. 時間借用データレポート
2.5.19. 例外レポートおよび例外範囲レポート
2.5.20. ボトルネック・レポート
2.5.21. タイミングの確認
2.5.22. SDCレポート
インテルのみ表示可能 — GUID: uwo1534882369871
Ixiasoft
2.3.5.2.1. エンティティーに結び付けられたSDC制約のスコープ
エンティティーに結び付けられるSDC手法では、制約に対してさまざまなスコープの設定が可能なため、それぞれの制約の影響範囲を決めることができます。
制約のスコープタイプ | 機能 | インスタンスに結び付けられたスコープを有効にする方法 |
---|---|---|
Automatic |
|
SDC_ENTITY_FILE のデフォルトモードです。手順を追加して行う必要はありません。 |
Manual |
|
-no_sdc_promotion を使用します。各コレクション・フィルターに get_current_instance を追加し、エンティティー境界内のノードをターゲットにします。 例えば、次のようになります。 get_registers [get_current_instance]|reg[*] |
Disabled |
|
-no_sdc_promotion および -no_auto_inst_discovery 引数を使用します。 |
エンティティーに結び付けられているSDCファイルをGUIまたは .qsf 割り当てを使用して定義する場合 (-no_sdc_promotion および -no_auto_inst_discovery 引数を除く)、制約では自動スコープを使用します。自動スコープでは、フィルターの先頭にインスタンスのパスが付けられます。次の表では、制約の自動スコープにより、さまざまなTclコマンドでパスがどのように解釈されるかを示しています。
制約例 | インスタンス X|Y の自動スコープ制約の解釈 |
---|---|
set_false_path -from [get_keepers a] | set_false_path -from [get_keepers X|Y|a] |
set_false_path -from [get_registers a] -to [get_registers b] | set_false_path -from [get_registers X|Y|a] -to [get_registers X|Y|b] |
set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2] | set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2] |
set_max_delay –from [get_ports in] -to [get_registers A] 2.0 | set_max_delay –from [get_ports in] -to [get_registers X|Y|A] 2.0 |
get_ports * | get_ports * |
get_clocks * | get_clocks * |
get_ports a | get_ports a |
get_clocks a | get_clocks a |
-no_sdc_promotion 引数の使用を含めて、.qsf 割り当てによって自動スコープが無効になっている場合は、最上位パスを手動で先頭に追加することにより自動スコープと同じ動作を実現する必要があります。このプロセスを単純に行うには、-get_current_instance コマンドを使用して、現在のインスタンスの最上位パスが返されるようにします。次の表は、-get_current_instance コマンドを使用して最上位パスを特定のTclコマンドに追加する場合に、パスがどのように解釈されるかを示しています。
制約例 | 手動スコープ制約の解釈 |
---|---|
set_false_path –from [get_current_instance]|d\ –to [get_current_instance]|e |
set_false_path –from i1|inner|d –to i1|inner|e set_false_path –from i2|inner|d –to i2|inner|e set_false_path –from i3|d –to i3|e |
create_generated_clock –divide_by 2 –source \ [get_ports inclk] –name \ [get_current_instance]_divclk \ [get_current_instance]|div set_multicycle_path –from [get_current_instance]|a \ –to [get_current_instance]|b 2 |
create_generated_clock –divide_by 2 –source \ [get_ports inclk] –name “i1_divclk” i1|div set_multicycle_path –from i1|a –to i1|b 2 \ create_generated_clock –divide_by 2 –source \ [get_ports inclk] –name “i2_divclk” i2|div set_multicycle_path –from i2|a –to i2|b 2 |