インテルのみ表示可能 — GUID: mwh1410383720779
Ixiasoft
インテルのみ表示可能 — GUID: mwh1410383720779
Ixiasoft
2.4.1.4. PLL クロックの導出
PLL 入力クロックポートのベースクロックの作成
デザインにトランシーバー、LVDS トランスミッター、または LVDS レシーバーが含まれる場合は、derive_pll_clocks を使用してデザインのこのロジックを制約し、それらのブロックのタイミング例外を作成します。
create_clock -period 10.0 -name fpga_sys_clk [get_ports fpga_sys_clk] derive_pll_clocks
derive_pll_clocks コマンドは、.sdc ファイルで create_clock コマンドの後に含めます。タイミング・アナライザーが .sdc ファイルを読み取るたびに、適切な生成クロックが各 PLL 出力クロックピンに作成されます。derive_pll_clocks を実行する前に PLL 出力にクロックが存在する場合は、既存のクロックが優先され、自動生成クロックはその PLL 出力には作成されません。
次に、レジスター間パスを備えるシンプルな PLL デザインを示します。
derive_pll_clocks コマンドを使用して PLL を制約すると、タイミング・アナライザーは次の例のようなメッセージを生成します。
derive_pll_clocks コマンドのメッセージ
Info: Info: Deriving PLL Clocks: Info: create_generated_clock -source pll_inst|altpll_component|pll|inclk[0] - divide_by 2 -name pll_inst|altpll_component|pll|clk[0] pll_inst|altpll_component|pll|clk[0] Info:
PLL の入力クロックピンはノード pll_inst|altpll_component|pll|inclk[0] で、これは -source オプションです。PLL の出力クロックの名前は、PLL 出力クロックノードの pll_inst|altpll_component|pll|clk[0] です。
PLL がクロック・スイッチオーバー・モードの場合は、複数のクロックが PLL の出力クロックに生成されます。1 つは一次入力クロック (inclk[0] など) で使用し、もう 1 つは二次入力クロック (inclk[1] など) で使用します。一次および二次出力クロックに排他的なクロックグループを作成します。これらのクロックは同時にアクティブになりません。
クロック制約が正しく実装されているかを確認するには、Report Clocks (report_clocks) を使用してクロックのタイミングレポートを生成します。Check Timing (check_timing) を使用すると、さまざまなタイミング制約の問題 (PLLに割り当てられているクロックがデザインファイルで定義しているPLL設定に正しく対応していないインスタンスの数など) を確認することができます。