インテルのみ表示可能 — GUID: qpu1621640273145
Ixiasoft
3.1.1. Avalon® -STコンフィグレーション・スキームのハードウェア・コンポーネントとファイルタイプ
3.1.2. Avalon-STデバイス・コンフィグレーションの有効化
3.1.3. AVST_READY信号
3.1.4. RBFコンフィグレーション・ファイルのフォーマット
3.1.5. Avalon-STシングル・デバイス・コンフィグレーション
3.1.6. Avalon® -ST コンフィグレーション・スキームのデバッグ・ガイドライン
3.1.7. Avalon® -STコンフィグレーション・スキームで使用するIP: Parallel Flash Loader II Intel® FPGA IP (PFL II)
3.1.7.4.1. FPGA Avalon-STピンに対するParallel Flash Loader II Intel® FPGA IPで推奨されるデザイン上の制約
3.1.7.4.2. QSPIフラッシュ使用時にParallel Flash Loader II Intel® FPGA IPで推奨されるデザイン制約
3.1.7.4.3. CFIフラッシュ使用時にParallel Flash Loader II Intel® FPGA IPで推奨されるデザイン制約
3.1.7.4.4. 他の入力ピンに向けたParallel Flash Loader II Intel® FPGA IPで推奨される制約
3.1.7.4.5. 他の出力ピンに向けたParallel Flash Loader II Intel® FPGA IPで推奨される制約
Parallel Flash Loader II Intel® FPGA IP出力ピンの出力遅延の設定
flash_nreset出力ピンの出力遅延の設定
fpga_nconfig 出力ピンのフォルスパスの設定
pfl_watchdog_error 出力ピンの出力遅延の設定
3.2.1. ASコンフィグレーション・スキーム・ハードウェア・コンポーネントとファイルタイプ
3.2.2. ASシングル・デバイス・コンフィグレーション
3.2.3. 複数のシリアル・フラッシュデバイスを使用するAS
3.2.4. ASコンフィグレーション・タイミング・パラメーター
3.2.5. 許容スキューについてのガイドライン
3.2.6. シリアル・フラッシュデバイスのプログラミング
3.2.7. シリアル・フラッシュメモリーのレイアウト
3.2.8. AS_CLK
3.2.9. アクティブ・シリアル・コンフィグレーション・ソフトウェアの設定
3.2.10. Quartus® Primeのプログラミング手順
3.2.11. ASコンフィグレーション・スキームのデバッグ・ガイドライン
インテルのみ表示可能 — GUID: qpu1621640273145
Ixiasoft
3.1.7.4.5. 他の出力ピンに向けたParallel Flash Loader II Intel® FPGA IPで推奨される制約
Parallel Flash Loader II Intel® FPGA IP出力ピンの出力遅延の設定
以下の例は、pfl_flash_access_request 出力ピンへの出力遅延を設定しています。
- アービターロジックまたはデバイス・トライステート・ロジックを使用してピンを制御する場合、パスを制約する必要はありません。
- デバイス・アービター・ロジックや外部プロセッサーを使用せずにピンを制御し、この信号が pfl_flash_access_granted 入力ピンを供給する場合、パスを制約する必要はありません。
- この信号がプロセッサーまたは外部デバイス制御を供給する場合、このパスを制約することができます。
set_output_delay -add_delay -clock [get_clocks { clk_50m_sysmax }] \ -max $flash_access_request_tracemax [get_ports {pfl_flash_access_request}] set_output_delay -add_delay -clock [get_clocks { clk_50m_sysmax }] \ -min $flash_access_request_tracemin [get_ports {pfl_flash_access_request}]
flash_nreset出力ピンの出力遅延の設定
Flash_nreset 出力ピンは、バーストモードでのみ使用可能です。
set_output_delay -add_delay -max -clock [get_clocks { FLASH_CLK }] $flash_out_max_dly [get_ports {flash_nreset}] set_output_delay -add_delay -min -clock [get_clocks { FLASH_CLK }] $flash_out_min_dly [get_ports {flash_nreset}]
fpga_nconfig 出力ピンのフォルスパスの設定
nCONFIG は非同期入力ピンなので、fpga_nconfig 出力ピンをフォルスパスに設定することができます。
set_false_path -from [get_ports {fpga_nconfig}] -to *
pfl_watchdog_error 出力ピンの出力遅延の設定
- この信号が内部ロジックを供給する場合、パスを制約する必要はありません。
- この信号が外部ホストを供給する場合、このパスを制約することができます。
set_output_delay -add_delay -clock [get_clocks { clk_50m_sysmax }] \ -max $pfl_watchdog_error_tracemax [get_ports {pfl_watchdog_error}] set_output_delay -add_delay -clock [get_clocks { clk_50m_sysmax }] \ -min $pfl_watchdog_error_tracemin [get_ports {pfl_watchdog_error}]