インテルのみ表示可能 — GUID: nik1398706820824
Ixiasoft
インテルのみ表示可能 — GUID: nik1398706820824
Ixiasoft
2.4.11. IP コアファイルの保存場所
トランシーバー・ネイティブPHY IP を生成すると、Quartus® Prime ソフトウェアがIP のインスタンスを定義するHDL ファイルを生成します。加えて、Quartus Prime ソフトウェアはModelSim* シミュレーターでデザインをコンパイルしてシミュレーションするためのTcl スクリプト例も生成します。また、Synopsys* のVCS、Aldec* のActive-HDL、AldeC のRiviera-Pro と、Cadence* のIncisive Enterprise 用のシミュレーション・スクリプトも生成します。
以下の表に、ディレクトリー、ならびにパラメーター化されたトランシーバー・ネイティブPHY IP コアとシミュレーション環境向けに最も重要なファイルについての説明をリストします。これらのファイルはクリアテキストです。
ファイル名 | 説明 |
---|---|
<project_dir> | トップレベル・プロジェクトのディレクトリー |
<your_ip_name> .v または.vhd | トップレベル・デザインファイル |
<your_ip_name> .qip | Quartus Prime のコンパイルに必要な全てのファイルのリスト |
<your_ip_name> .bsf | トランシーバー・ネイティブPHY インスタンスのブロック・シンボル・ファイル (.bsf) |
<project_dir>/<your_ip_name>/ | トランシーバー・ネイティブPHY IP を定義するHDL ファイルを格納するディレクトリー |
<project_dir>/sim | シミュレーション・ディレクトリー |
<project_dir>/sim/aldec | Riviera-PRO シミュレーション・ツール向けシミュレーション・ファイル |
<project_dir>/sim/cadence | Cadence シミュレーション・ツール向けシミュレーション・ファイル |
<project_dir>/sim/mentor | Mentor シミュレーション・ツール向けシミュレーション・ファイル |
<project_dir>/sim/synopsys | Synopsys シミュレーション・ツール向けシミュレーション・ファイル |
<project_dir>/synth | 合成に使用されるファイルを格納するディレクトリー |
Verilog およびVHDL のトランシーバー・ネイティブPHY IP コアは、以下のシミュレーターでテストされています。
- ModelSim SE
- Synopsys VCS MX
- Cadence NCSim
トランシーバーPHY にVHDL を選択した場合、Quartus Prime ソフトウェアで生成されたラッパーだけがVHDL です。すべての下位層のファイルは、Verilog あるいはSystemVerilog で書かれています。VHDL 専用のModelSim ライセンスを使用するシミュレーションを可能にするために、トランシーバー・ネイティブPHY IP の下位層のVerilog とSystemVerilog ファイルは符号化されており、そのためこれらを混合言語シミュレーターを使用せずにトップレベルVHDL ラッパーで使用することができます。
ModelSim を使用するシミュレーションについて詳しくは、Quartus Prime Handbook Volume 3 のMentor Graphics ModelSim and QuestaSim Support の章を参照してください。
トランシーバー・ネイティブPHY IP コアは、Quartus Prime ソフトウェアでNativeLink 機能をサポートしていません。